question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

Compatibility with Aldec Active-HDL on Windows

See original GitHub issue

Dear cocotb community,

I’m new to cocotb and trying to get the endian_swapper exampl running. My setup is:

  • Win10
  • Aldec Active-HDL9.3
  • Miniconda with Python 3.7

What I did so far:

    1. Installed msys: conda install -c msys2 m2-base m2-make libpython m2w64-toolchain
    1. Install the development version of cocotb :
    • pip install -e ./cocotb
  1. cd cocotb/examples/endian_swapper/tests
  2. make SIM=aldec TOPLEVEL_LANG=vhdl

This leads to

runsim.tcl(5) error: parse error
@if [string length<--
/c/temp/cocotb/cocotb/share/makefiles/simulators/Makefile.aldec:144: recipe for target 'results.xml' failed
make[1]: *** [results.xml] Error 1
make[1]: Leaving directory '/c/temp/cocotb/examples/endian_swapper/tests'
c:\temp\cocotb\cocotb\share\makefiles/Makefile.sim:150: recipe for target 'sim' failed
make: *** [sim] Error 2

So first of all it seems to that my vsimsa (build 9.3.2744.sp1.09.4995) cant handle the statements generated by Makefile.aldec @if [string length [array get env LICENSE_QUEUE]] { set LICENSE_QUEUE $::env(LICENSE_QUEUE) } in runsim.tcl

So I removed in Makefile.aldec for testing:

        @echo "@if [string length [array get env LICENSE_QUEUE]] {" >> $@  
        @echo " set LICENSE_QUEUE $$::env(LICENSE_QUEUE)" >> $@  
        @echo "}" >> $@  

After running again with make Im getting:

`runsim.tcl(8) error: parse error
asim   +access +w -interceptcoutput -O2 -dbg -loadvhpi C:/temp/cocotb/cocotb/libs/libcocotbvhpi_aldec:vhpi_startup_routines_bootstrap<--
/c/temp/cocotb/cocotb/share/makefiles/simulators/Makefile.aldec:144: recipe for target 'results.xml' failed
make[2]: *** [results.xml] Error 1`

I could trace this problem back to vsimsa which seems to need “” around -loadvhpi "C:/temp/cocotb/cocotb/libs/libcocotbvhpi_aldec:vhpi_startup_routines_bootstrap" After adding the quotation marks to Makefile.aldec:

else ifeq ($(TOPLEVEL_LANG),vhdl)  
    GPI_ARGS = -loadvhpi \"$(LIB_DIR)/libcocotbvhpi_aldec:vhpi_startup_routines_bootstrap\"

I again started with make. this now leads to execution of the generated script with vsimsa but Im getting VHPI: Loading library 'C:/temp/cocotb/cocotb/libs/libcocotbvhpi_aldec.dll' VHPI: Cannot load 'C:/temp/cocotb/cocotb/libs/libcocotbvhpi_aldec.dll' library. Library does not exist or is corrupted. The simulation seems to start running but never stops. This is how far I got with my Issue. I hope you can help me get cocotb running on my machine with this simulator and hopefully also improve the project also a bit.

Issue Analytics

  • State:closed
  • Created 3 years ago
  • Comments:36 (36 by maintainers)

github_iconTop GitHub Comments

1reaction
themperekcommented, Apr 8, 2020

to have a solution if you run a 32bit simulator and have conda in 64 bit already installed?

This is exactly what is here: https://github.com/cocotb/cocotb/wiki/Tier-2-Setup-Instructions#conda-based-installation-all-os

1reaction
eric-wiesercommented, Apr 8, 2020

Oh, looks like my version is out of date, apologies.

That last line should be (__version__, os.path.dirname(__file__), os.getcwd())).

Read more comments on GitHub >

github_iconTop Results From Across the Web

Compatibility with Aldec Active-HDL on Windows #1601
I'm new to cocotb and trying to get the endian_swapper exampl running. My setup is: Win10; Aldec Active-HDL9.3; Miniconda with Python 3.7. What ......
Read more >
Best PC configuration for Active-HDL - FAQ - Documentation
What PC configuration should I buy to run Active-HDL? ANSWER: CPU parameters. Single core vs. dual or multi core – Active-HDL is multithreaded...
Read more >
Active-HDL Student Edition - FPGA Simulation - Products - Aldec
Active -HDL Student Edition is a mixed language design entry and simulation tool offered at no cost by Aldec for students to use...
Read more >
Active-HDL Configurations - Aldec, Inc
Design Entry and Documentation ; HDL, Text, Block Diagram and State Machine Editor. With Active-HDL, you can mix different types of descriptions.
Read more >
Resources - Support - Aldec, Inc
2.2 Console: Compatibility Commands. Important simulator commands from other tools such as Active-HDL and Rivera-PRO are supported within ALINT-PRO's ...
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found