question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

Error linking verilator on OSX

See original GitHub issue

Hi,

I got the following error while running “sbt test” on my OSX system

clang++ TLP_sender-harness.o verilated.o verilated_vcd_c.o VTLP_sender__ALL.a -o VTLP_sender -lm -lstdc++ clang++ Adder-harness.o verilated.o verilated_vcd_c.o VAdder__ALL.a -o VAdder -lm -lstdc++ Undefined symbols for architecture x86_64: "vl_finish(char const*, int, char const*)", referenced from: VL_FINISH_MT(char const*, int, char const*) in verilated.o Undefined symbols for architecture x86_64: "vl_finish(char const*, int, char const*)", referenced from: VL_FINISH_MT(char const*, int, char const*) in verilated.o ld: symbol(s) not found for architecture x86_64 ld: symbol(s) not found for architecture x86_64 clang: error: linker command failed with exit code 1 (use -v to see invocation) clang: error: linker command failed with exit code 1 (use -v to see invocation) make: *** [VTLP_sender] Error 1 make: *** [VAdder] Error 1

My workaround consisted in modifying the following line in the verilator.cpp file: #ifndef VL_USER_FINISH with this: #ifdef VL_USER_FINISH

And everything worked well, but it’s just a workaround.

Issue Analytics

  • State:closed
  • Created 6 years ago
  • Comments:16 (11 by maintainers)

github_iconTop GitHub Comments

1reaction
jackkoenigcommented, Jul 12, 2018

I actually ran into this issue recently as well on my new Macbook Pro but haven’t had time to debug.

Possibly related, chisel3 implements vl_finish in src/main/resources/chisel3/top.cpp and FIRRTL implements vl_finish in src/test/resources/firrtl/testTop.cpp. chisel-testers does not implement it which suggests it’s either supposed to be using the default implementation in verilator or is using the one in chisel3.

0reactions
edcotecommented, Aug 14, 2018

3.900 works not 3.922 as recommended in README.md

Read more comments on GitHub >

github_iconTop Results From Across the Web

Error linking verilator on OSX · Issue #795 · chipsalliance/chisel3
Hi, I got the following error while running "sbt test" on my OSX system clang++ TLP_sender-harness.o verilated.o verilated_vcd_c.o ...
Read more >
verilator Arguments — Verilator 5.005 documentation - Veripool
Specifies optional object or library files to be linked with the Verilog code, as a shorthand for -LDFLAGS <file> . The file path...
Read more >
Installation — Verilator 5.005 documentation
This Verilator Build Docker Container is set up to compile and test a Verilator build. It uses the following parameters: Source repository (default:...
Read more >
TIMESCALEMOD verilator error when attempting to add a new ...
When adding your blackbox resources, something has gone wrong. Make sure the addResource path is correct. The TIMESCALEMOD error has nothing ...
Read more >
Verilog Lint with Verilator - Project F: FPGA Dev
Verilator is a Verilog simulator and C++ compiler that also supports ... On macOS, you can install via the Homebrew package manager:.
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found