question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

Errors when tried to genearte verilog

See original GitHub issue

Type of issue: bug report OS: windows x64 version: chisel3 I created my own hardware class for testing and compiled successfully. However, I got following errors when I tried to generate verilog code based on the instructions on frequently asked questions section.. Also, I created chisel project based on the template on here

My code

package tree

import chisel3._

class TREE extends Module {
	val io = IO(new Bundle {
		val in = Input(UInt(16.W))
		val out = Output(UInt(16.W))
	})

	val regA = RegInit(0.U(16.W))	//temporary results will be stored in registers
	val regB = RegInit(0.U(16.W))
	val regC = RegInit(0.U(16.W))

	when(io.in < 100.U){regA := io.in}	//classify input based on the generated tree
		.otherwise{regB := io.in}

	when(regA < 80.U){regC := regA}
		.otherwise{io.out := 2.U}

	when(regB < 130.U){io.out := 3.U}
		.otherwise{io.out := 4.U}

	when(regC < 50.U){io.out := 0.U}
		.otherwise{io.out := 1.U}

}

object TREE extends App {
  chisel3.Driver.execute(args, () => new TREE)
}

Error logs:

[info] Packaging C:\Users\jjh44\Desktop\Github\CSCE614__Project\target\scala-2.11\csce614__project_2.11-3.1.1.jar ...
[info] Done packaging.
[info] Running tree.TREE
[info] [0.000] Elaborating design...
[info] [0.096] Done elaborating.
[error] (run-main-2) firrtl.FIRRTLException: Internal Error! Please file an issue at https://github.com/ucb-bar/firrtl/issues
[error] firrtl.FIRRTLException: Internal Error! Please file an issue at https://github.com/ucb-bar/firrtl/issues
[error]         at firrtl.Utils$.error(Utils.scala:396)
[error]         at firrtl.Utils$.throwInternalError(Utils.scala:178)
[error]         at firrtl.Driver$$anonfun$execute$1.apply(Driver.scala:237)
[error]         at firrtl.Driver$$anonfun$execute$1.apply(Driver.scala:209)
[error]         at logger.Logger$$anonfun$makeScope$1.apply(Logger.scala:138)
[error]         at scala.util.DynamicVariable.withValue(DynamicVariable.scala:58)
[error]         at logger.Logger$.makeScope(Logger.scala:136)
[error]         at firrtl.Driver$.execute(Driver.scala:209)
[error]         at chisel3.Driver$.execute(Driver.scala:182)
[error]         at chisel3.Driver$.execute(Driver.scala:202)
[error]         at tree.TREE$.delayedEndpoint$tree$TREE$1(TREE.scala:31)
[error]         at tree.TREE$delayedInit$body.apply(TREE.scala:30)
[error]         at scala.Function0$class.apply$mcV$sp(Function0.scala:34)
[error]         at scala.runtime.AbstractFunction0.apply$mcV$sp(AbstractFunction0.scala:12)
[error]         at scala.App$$anonfun$main$1.apply(App.scala:76)
[error]         at scala.App$$anonfun$main$1.apply(App.scala:76)
[error]         at scala.collection.immutable.List.foreach(List.scala:392)
[error]         at scala.collection.generic.TraversableForwarder$class.foreach(TraversableForwarder.scala:35)
[error]         at scala.App$class.main(App.scala:76)
[error]         at tree.TREE$.main(TREE.scala:30)
[error]         at tree.TREE.main(TREE.scala)
[error]         at java.base/jdk.internal.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error]         at java.base/jdk.internal.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error]         at java.base/jdk.internal.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error]         at java.base/java.lang.reflect.Method.invoke(Method.java:566)
[error]         at sbt.Run.invokeMain(Run.scala:93)
[error]         at sbt.Run.run0(Run.scala:87)
[error]         at sbt.Run.execute$1(Run.scala:65)
[error]         at sbt.Run.$anonfun$run$4(Run.scala:77)
[error]         at scala.runtime.java8.JFunction0$mcV$sp.apply(JFunction0$mcV$sp.java:12)
[error]         at sbt.util.InterfaceUtil$$anon$1.get(InterfaceUtil.scala:10)
[error]         at sbt.TrapExit$App.run(TrapExit.scala:252)
[error]         at java.base/java.lang.Thread.run(Thread.java:834)
[error] Caused by: scala.MatchError: WVoid (of class firrtl.WVoid$)
[error]         at firrtl.passes.InferTypes$.firrtl$passes$InferTypes$$infer_types_e$1(InferTypes.scala:26)
[error]         at firrtl.passes.InferTypes$$anonfun$2.apply(InferTypes.scala:26)
[error]         at firrtl.passes.InferTypes$$anonfun$2.apply(InferTypes.scala:26)
[error]         at firrtl.ir.Mux.mapExpr(IR.scala:143)
[error]         at firrtl.Mappers$ExprMagnet$$anon$6.map(Mappers.scala:42)
[error]         at firrtl.Mappers$ExprMap$.map$extension(Mappers.scala:52)
[error]         at firrtl.passes.InferTypes$.firrtl$passes$InferTypes$$infer_types_e$1(InferTypes.scala:26)
[error]         at firrtl.passes.InferTypes$$anonfun$4.apply(InferTypes.scala:47)
[error]         at firrtl.passes.InferTypes$$anonfun$4.apply(InferTypes.scala:47)
[error]         at firrtl.ir.DefNode.mapExpr(IR.scala:270)
[error]         at firrtl.Mappers$StmtMagnet$$anon$2.map(Mappers.scala:19)
[error]         at firrtl.Mappers$StmtMap$.map$extension(Mappers.scala:33)
[error]         at firrtl.passes.InferTypes$.firrtl$passes$InferTypes$$infer_types_s$1(InferTypes.scala:47)
[error]         at firrtl.passes.InferTypes$$anonfun$firrtl$passes$InferTypes$$infer_types_s$1$5.apply(InferTypes.scala:59)
[error]         at firrtl.passes.InferTypes$$anonfun$firrtl$passes$InferTypes$$infer_types_s$1$5.apply(InferTypes.scala:59)
[error]         at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:234)
[error]         at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:234)
[error]         at scala.collection.immutable.List.foreach(List.scala:392)
[error]         at scala.collection.TraversableLike$class.map(TraversableLike.scala:234)
[error]         at scala.collection.immutable.List.map(List.scala:296)
[error]         at firrtl.ir.Block.mapStmt(IR.scala:293)
[error]         at firrtl.Mappers$StmtMagnet$$anon$1.map(Mappers.scala:16)
[error]         at firrtl.Mappers$StmtMap$.map$extension(Mappers.scala:33)
[error]         at firrtl.passes.InferTypes$.firrtl$passes$InferTypes$$infer_types_s$1(InferTypes.scala:59)
[error]         at firrtl.passes.InferTypes$$anonfun$firrtl$passes$InferTypes$$infer_types_s$1$5.apply(InferTypes.scala:59)
[error]         at firrtl.passes.InferTypes$$anonfun$firrtl$passes$InferTypes$$infer_types_s$1$5.apply(InferTypes.scala:59)
[error]         at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:234)
[error]         at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:234)
[error]         at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
[error]         at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:48)
[error]         at scala.collection.TraversableLike$class.map(TraversableLike.scala:234)
[error]         at scala.collection.AbstractTraversable.map(Traversable.scala:104)
[error]         at firrtl.ir.Block.mapStmt(IR.scala:293)
[error]         at firrtl.Mappers$StmtMagnet$$anon$1.map(Mappers.scala:16)
[error]         at firrtl.Mappers$StmtMap$.map$extension(Mappers.scala:33)
[error]         at firrtl.passes.InferTypes$.firrtl$passes$InferTypes$$infer_types_s$1(InferTypes.scala:59)
[error]         at firrtl.passes.InferTypes$$anonfun$firrtl$passes$InferTypes$$infer_types_s$1$5.apply(InferTypes.scala:59)
[error]         at firrtl.passes.InferTypes$$anonfun$firrtl$passes$InferTypes$$infer_types_s$1$5.apply(InferTypes.scala:59)
[error]         at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:234)
[error]         at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:234)
[error]         at scala.collection.immutable.List.foreach(List.scala:392)
[error]         at scala.collection.TraversableLike$class.map(TraversableLike.scala:234)
[error]         at scala.collection.immutable.List.map(List.scala:296)
[error]         at firrtl.ir.Block.mapStmt(IR.scala:293)
[error]         at firrtl.Mappers$StmtMagnet$$anon$1.map(Mappers.scala:16)
[error]         at firrtl.Mappers$StmtMap$.map$extension(Mappers.scala:33)
[error]         at firrtl.passes.InferTypes$.firrtl$passes$InferTypes$$infer_types_s$1(InferTypes.scala:59)
[error]         at firrtl.passes.InferTypes$$anonfun$firrtl$passes$InferTypes$$infer_types$1$3.apply(InferTypes.scala:70)
[error]         at firrtl.passes.InferTypes$$anonfun$firrtl$passes$InferTypes$$infer_types$1$3.apply(InferTypes.scala:70)
[error]         at firrtl.ir.Module.mapStmt(IR.scala:550)
[error]         at firrtl.Mappers$ModuleMagnet$$anon$12.map(Mappers.scala:93)
[error]         at firrtl.Mappers$ModuleMap$.map$extension(Mappers.scala:106)
[error]         at firrtl.passes.InferTypes$.firrtl$passes$InferTypes$$infer_types$1(InferTypes.scala:70)
[error]         at firrtl.passes.InferTypes$$anonfun$6.apply(InferTypes.scala:73)
[error]         at firrtl.passes.InferTypes$$anonfun$6.apply(InferTypes.scala:73)
[error]         at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:234)
[error]         at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:234)
[error]         at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
[error]         at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:48)
[error]         at scala.collection.TraversableLike$class.map(TraversableLike.scala:234)
[error]         at scala.collection.AbstractTraversable.map(Traversable.scala:104)
[error]         at firrtl.passes.InferTypes$.run(InferTypes.scala:73)
[error]         at firrtl.passes.Pass$class.execute(Passes.scala:24)
[error]         at firrtl.passes.InferTypes$.execute(InferTypes.scala:10)
[error]         at firrtl.Transform$$anonfun$2.apply(Compiler.scala:257)
[error]         at firrtl.Transform$$anonfun$2.apply(Compiler.scala:257)
[error]         at firrtl.Utils$.time(Utils.scala:183)
[error]         at firrtl.Transform.runTransform(Compiler.scala:257)
[error]         at firrtl.SeqTransformBased$$anonfun$runTransforms$1.apply(Compiler.scala:309)
[error]         at firrtl.SeqTransformBased$$anonfun$runTransforms$1.apply(Compiler.scala:309)
[error]         at scala.collection.LinearSeqOptimized$class.foldLeft(LinearSeqOptimized.scala:124)
[error]         at scala.collection.immutable.List.foldLeft(List.scala:84)
[error]         at firrtl.SeqTransformBased$class.runTransforms(Compiler.scala:309)
[error]         at firrtl.SeqTransform.runTransforms(Compiler.scala:313)
[error]         at firrtl.SeqTransform.execute(Compiler.scala:319)
[error]         at firrtl.Transform$$anonfun$2.apply(Compiler.scala:257)
[error]         at firrtl.Transform$$anonfun$2.apply(Compiler.scala:257)
[error]         at firrtl.Utils$.time(Utils.scala:183)
[error]         at firrtl.Transform.runTransform(Compiler.scala:257)
[error]         at firrtl.Compiler$$anonfun$7$$anonfun$apply$8.apply(Compiler.scala:471)
[error]         at firrtl.Compiler$$anonfun$7$$anonfun$apply$8.apply(Compiler.scala:471)
[error]         at scala.collection.LinearSeqOptimized$class.foldLeft(LinearSeqOptimized.scala:124)
[error]         at scala.collection.immutable.List.foldLeft(List.scala:84)
[error]         at firrtl.Compiler$$anonfun$7.apply(Compiler.scala:471)
[error]         at firrtl.Compiler$$anonfun$7.apply(Compiler.scala:471)
[error]         at firrtl.Utils$.time(Utils.scala:183)
[error]         at firrtl.Compiler$class.compile(Compiler.scala:470)
[error]         at firrtl.VerilogCompiler.compile(LoweringCompilers.scala:150)
[error]         at firrtl.Driver$$anonfun$execute$1.apply(Driver.scala:225)
[error]         at firrtl.Driver$$anonfun$execute$1.apply(Driver.scala:209)
[error]         at logger.Logger$$anonfun$makeScope$1.apply(Logger.scala:138)
[error]         at scala.util.DynamicVariable.withValue(DynamicVariable.scala:58)
[error]         at logger.Logger$.makeScope(Logger.scala:136)
[error]         at firrtl.Driver$.execute(Driver.scala:209)
[error]         at chisel3.Driver$.execute(Driver.scala:182)
[error]         at chisel3.Driver$.execute(Driver.scala:202)
[error]         at tree.TREE$.delayedEndpoint$tree$TREE$1(TREE.scala:31)
[error]         at tree.TREE$delayedInit$body.apply(TREE.scala:30)
[error]         at scala.Function0$class.apply$mcV$sp(Function0.scala:34)
[error]         at scala.runtime.AbstractFunction0.apply$mcV$sp(AbstractFunction0.scala:12)
[error]         at scala.App$$anonfun$main$1.apply(App.scala:76)
[error]         at scala.App$$anonfun$main$1.apply(App.scala:76)
[error]         at scala.collection.immutable.List.foreach(List.scala:392)
[error]         at scala.collection.generic.TraversableForwarder$class.foreach(TraversableForwarder.scala:35)
[error]         at scala.App$class.main(App.scala:76)
[error]         at tree.TREE$.main(TREE.scala:30)
[error]         at tree.TREE.main(TREE.scala)
[error]         at java.base/jdk.internal.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error]         at java.base/jdk.internal.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error]         at java.base/jdk.internal.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error]         at java.base/java.lang.reflect.Method.invoke(Method.java:566)
[error]         at sbt.Run.invokeMain(Run.scala:93)
[error]         at sbt.Run.run0(Run.scala:87)
[error]         at sbt.Run.execute$1(Run.scala:65)
[error]         at sbt.Run.$anonfun$run$4(Run.scala:77)
[error]         at scala.runtime.java8.JFunction0$mcV$sp.apply(JFunction0$mcV$sp.java:12)
[error]         at sbt.util.InterfaceUtil$$anon$1.get(InterfaceUtil.scala:10)
[error]         at sbt.TrapExit$App.run(TrapExit.scala:252)
[error]         at java.base/java.lang.Thread.run(Thread.java:834)
[error] java.lang.RuntimeException: Nonzero exit code: 1
[error]         at sbt.Run$.executeTrapExit(Run.scala:124)
[error]         at sbt.Run.run(Run.scala:77)
[error]         at sbt.Defaults$.$anonfun$bgRunMainTask$6(Defaults.scala:1147)
[error]         at sbt.Defaults$.$anonfun$bgRunMainTask$6$adapted(Defaults.scala:1142)
[error]         at sbt.internal.BackgroundThreadPool.$anonfun$run$1(DefaultBackgroundJobService.scala:366)
[error]         at scala.runtime.java8.JFunction0$mcV$sp.apply(JFunction0$mcV$sp.java:12)
[error]         at scala.util.Try$.apply(Try.scala:209)
[error]         at sbt.internal.BackgroundThreadPool$BackgroundRunnable.run(DefaultBackgroundJobService.scala:289)
[error]         at java.base/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1128)
[error]         at java.base/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
[error]         at java.base/java.lang.Thread.run(Thread.java:834)
sbt:CSCE614__Project[error] (Compile / runMain) Nonzero exit code: 1
> [error] Total time: 6 s, completed 2019. 3. 17. ?? 11:20:27

Issue Analytics

  • State:closed
  • Created 5 years ago
  • Comments:16 (9 by maintainers)

github_iconTop GitHub Comments

2reactions
JaeHun0304commented, Mar 20, 2019

It works right now. Really thanks for your help. Test seed and cycle passed successfully.

1reaction
edwardcwangcommented, Mar 20, 2019

Please try 1.3-SNAPSHOT and let us know if it works.

Read more comments on GitHub >

github_iconTop Results From Across the Web

Errors when tried to genearte verilog · Issue #1059 - GitHub
Type of issue: bug report OS: windows x64 version: chisel3 I created my own hardware class for testing and compiled successfully.
Read more >
Syntax error near "generate" and "endgenerate" in verilog
I want to call add module or subtract module depending on the condition in the if block is satisfied or not. I tried...
Read more >
Why do I get an error when I try to generate (any) system ...
Hi, Im using lineread command to read in from an input port . Im reading the verilog si log file m which contain...
Read more >
Platform Designer fails to generate Verilog simulation model
Hi,. Trying to replicate the scenario but not succeeded. I was able to successfully generate the Verilog simulation model for example project ...
Read more >
Verilog Generate Configurable RTL Designs
A Verilog generate block creates a new scope and a new level of hierarchy, almost like instantiating a module. This sometimes causes confusion ......
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found