question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

MatchError during RemoveCHIRRTL

See original GitHub issue

This is too vague of a report right now but I’m pasting this here so I don’t lose it or forget about it: Will update later today.

/scratch/colins/rocket-chip/firrtl/utils/bin/firrtl -i /scratch/colins/rocket-chip/vsim/generated-src/Top.ISCA2016Config.fir -o /scratch/colins/rocket-chip/vsim/generated-src/Top.ISCA2016Config.v -X verilog
Exception in thread "main" scala.MatchError: (UnknownType(),UnknownType()) (of class scala.Tuple2)
        at firrtl.Utils$.get_valid_points(Utils.scala:326)
        at firrtl.passes.RemoveCHIRRTL$.firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1(Passes.scala:1952)
        at firrtl.passes.RemoveCHIRRTL$$anonfun$firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1$3.apply(Passes.scala:1966)
        at firrtl.passes.RemoveCHIRRTL$$anonfun$firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1$3.apply(Passes.scala:1966)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:245)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:245)
        at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
        at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:48)
        at scala.collection.TraversableLike$class.map(TraversableLike.scala:245)
        at scala.collection.AbstractTraversable.map(Traversable.scala:104)
        at firrtl.Mappers$StmtMagnet$$anon$4.map(Mappers.scala:42)
        at firrtl.Mappers$StmtMap.map(Mappers.scala:95)
        at firrtl.passes.RemoveCHIRRTL$.firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1(Passes.scala:1966)
        at firrtl.passes.RemoveCHIRRTL$$anonfun$firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1$3.apply(Passes.scala:1966)
        at firrtl.passes.RemoveCHIRRTL$$anonfun$firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1$3.apply(Passes.scala:1966)
        at firrtl.Mappers$StmtMagnet$$anon$4.map(Mappers.scala:41)
        at firrtl.Mappers$StmtMap.map(Mappers.scala:95)
        at firrtl.passes.RemoveCHIRRTL$.firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1(Passes.scala:1966)
        at firrtl.passes.RemoveCHIRRTL$$anonfun$firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1$3.apply(Passes.scala:1966)
        at firrtl.passes.RemoveCHIRRTL$$anonfun$firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1$3.apply(Passes.scala:1966)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:245)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:245)
        at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
        at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:48)
        at scala.collection.TraversableLike$class.map(TraversableLike.scala:245)
        at scala.collection.AbstractTraversable.map(Traversable.scala:104)
        at firrtl.Mappers$StmtMagnet$$anon$4.map(Mappers.scala:42)
        at firrtl.Mappers$StmtMap.map(Mappers.scala:95)
        at firrtl.passes.RemoveCHIRRTL$.firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_s$1(Passes.scala:1966)
        at firrtl.passes.RemoveCHIRRTL$.firrtl$passes$RemoveCHIRRTL$$remove_chirrtl_m$1(Passes.scala:1971)
        at firrtl.passes.RemoveCHIRRTL$$anonfun$103.apply(Passes.scala:1975)
        at firrtl.passes.RemoveCHIRRTL$$anonfun$103.apply(Passes.scala:1973)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:245)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:245)
        at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
        at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:48)
        at scala.collection.TraversableLike$class.map(TraversableLike.scala:245)
        at scala.collection.AbstractTraversable.map(Traversable.scala:104)
        at firrtl.passes.RemoveCHIRRTL$.run(Passes.scala:1973)
        at firrtl.passes.PassUtils$.executePasses(Passes.scala:89)
        at firrtl.VerilogCompiler$.run(Compiler.scala:93)
        at firrtl.Driver$.compile(Driver.scala:53)
        at firrtl.Driver$.main(Driver.scala:94)
        at firrtl.Driver.main(Driver.scala)
make: *** [/scratch/colins/rocket-chip/vsim/generated-src/Top.ISCA2016Config.v] Error 1

Issue Analytics

  • State:closed
  • Created 7 years ago
  • Comments:12 (12 by maintainers)

github_iconTop GitHub Comments

1reaction
colinschmidtcommented, Apr 14, 2016

That bundle has a Vec.fill(n){new InnerBundle} that when corrected, no longer produces the above match error.

0reactions
azidarcommented, May 3, 2016

See #157.

Read more comments on GitHub >

github_iconTop Results From Across the Web

SInts not respected in Legalize for connection where rhs is wider ...
Per my proposed fix, according to the spec assignment of wider ground types to narrower ground types is not even allowed. Is this...
Read more >
scala.MatchError during Spark 2.0.2 DataFrame union
It's because of ordering in the actual data even though its schema is the same. So simply select all required columns then do...
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found