question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

adder_module test doesn't run properly

See original GitHub issue

From a random CI run:

/c/iverilog/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s adder -g2012   C:/src/examples/adder/tests/../hdl/adder.v
PYTHONPATH=/c/src/build/libs/x86_64:/c/src/examples/adder/tests:/:/C/c/srcC/src/examples/adder/model:/C/src/examples/adder/tests:/C/miniconda3/python37.zip:/C/miniconda3/DLLs:/C/miniconda3/lib:/C/miniconda3:/C/miniconda3/lib/site-packages:/C/miniconda3/lib/site-packages/cocotb-1.2.0-py3.7.egg:/C/miniconda3/lib/site-packages/win32:/C/miniconda3/lib/site-packages/win32/lib:/C/miniconda3/lib/site-packages/Pythonwin PATH=/c/Windows/system32:/c/Windows:/c/Windows/System32/Wbem:/c/Windows/System32/WindowsPowerShell/v1.0:/c/Windows/System32/OpenSSH:/c/Users/ContainerAdministrator/AppData/Local/Microsoft/WindowsApps:/c/miniconda3:/mingw-w64/bin:/usr/bin:/bin:/c/miniconda3/Scripts:/c/miniconda3/bin:/c/miniconda3/condabin:/c/iverilog/bin:/c/iverilog/gtkwave/bin:/c/iverilog/bin:/c/src/build/libs/x86_64 MODULE=test_adder \
        TESTCASE= TOPLEVEL=adder TOPLEVEL_LANG=verilog COCOTB_SIM=1 \
        /c/iverilog/bin/vvp -M /c/src/build/libs/x86_64 -m gpivpi sim_build/sim.vvp   
     -.--ns INFO     cocotb.gpi                                  gpi_embed.c:78   in set_program_name_in_venv        Did not detect Python virtual environment. Using system-wide Python interpreter
     -.--ns INFO     cocotb.gpi                                GpiCommon.cpp:104  in gpi_print_registered_impl       VPI registered
     0.00ns INFO     cocotb.gpi                                  gpi_embed.c:340  in embed_sim_init                  Running on Icarus Verilog version 10.1 (stable)
     0.00ns INFO     cocotb.gpi                                  gpi_embed.c:341  in embed_sim_init                  Python interpreter initialized and cocotb loaded!
     0.00ns INFO     cocotb                                      __init__.py:138  in _initialise_testbench           Running tests with cocotb v1.2.0 from C:/src
     0.00ns INFO     cocotb                                      __init__.py:155  in _initialise_testbench           Seeding Python random module with 1577976144
     0.00ns CRITICAL cocotb.regression                         regression.py:137  in initialise                      Failed to import module test_adder: No module named 'adder_model'
     0.00ns INFO     cocotb.regression                         regression.py:138  in initialise                      MODULE variable was "test_adder"
     0.00ns INFO     cocotb.regression                         regression.py:139  in initialise                      Traceback: 
     0.00ns INFO     cocotb.regression                         regression.py:140  in initialise                      Traceback (most recent call last):
                                                                                                                       File "C:\miniconda3\lib\site-packages\cocotb-1.2.0-py3.7.egg\cocotb\regression.py", line 135, in initialise
                                                                                                                         module = _my_import(module_name)
                                                                                                                       File "C:\miniconda3\lib\site-packages\cocotb-1.2.0-py3.7.egg\cocotb\regression.py", line 63, in _my_import
                                                                                                                         mod = __import__(name)
                                                                                                                       File "C:\src\examples\adder\tests\test_adder.py", line 5, in <module>
                                                                                                                         from adder_model import adder_model
                                                                                                                     ModuleNotFoundError: No module named 'adder_model'
                                                                                                                     
     0.00ns ERROR    cocotb.gpi                                  gpi_embed.c:425  in embed_sim_init                  cocotb initialization failed - exiting
     0.00ns ERROR    cocotb.scheduler                            __init__.py:198  in _sim_event                      Failing test at simulator request before test run completion: Simulator shutdown prematurely
make[3]: Leaving directory '/c/src/examples/adder/tests'

Issue Analytics

  • State:closed
  • Created 4 years ago
  • Comments:7 (7 by maintainers)

github_iconTop GitHub Comments

1reaction
cmarqucommented, Jan 2, 2020

Maybe for a new issue: this doesn’t get marked as failed in Travis - do we want/expect that behaviour?

0reactions
eric-wiesercommented, Jan 22, 2020

Maybe for a new issue: this doesn’t get marked as failed in Travis

I think @ktbarrett’s #1262 PR will fix that. (edit: I think that only fixes imports at the test level)

Read more comments on GitHub >

github_iconTop Results From Across the Web

Tests not running in Test Explorer - visual studio
This was my problem, too. In VS 2019, it doesn't seem to happen with Xunit, though. But it always occur when using MsTest...
Read more >
ng test does not react properly when detecting a code change
I agree with you that this behaviour is a bug because the previous run should be interrupted. 1
Read more >
Solved Hello, I need some help creating a test bench code
I need some help creating a test bench code and running it on ModelSim, ... of but i just cannot get the code...
Read more >
HCP 1000 SERIES - Hochiki America
Resize System (Set Circuit Adder Module Number and Type) . ... Check that all modules are installed in the proper location with the...
Read more >
Angular cli - `ng test` doesn't run? - Visual Studio Feedback
I have a project in VS Team Services with CI Build. One of the build steps is `npm test` which works fine locally,...
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found