question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

Cocotb with Active HDL

See original GitHub issue

Hi,

I am trying to use cocotb on Windows 10 with Active HDL 10.2. I installed anaconda for for 64 bit Python 3 and followed the instructions on the wiki.

I am trying to use the simple_dff and adder examples to get my feet wet and cannot seem to get them to work.

I keep on getting the same error:

<KERNEL: PLI/VHPI kernel's engine initialization done. PLI: Loading library 'C:\users\textron\miniconda3\lib\site-packages\cocotb\libs\libcocotbvpi_aldec.dll' PLI: Cannot load the "C:\users\textron\miniconda3\lib\site-packages\cocotb\libs\libcocotbvpi_aldec.dll" library. The library does not exist or is corrupted. PLI: The specified procedure could not be found.>

When I go to the path specified the libcocotbvpi_aldec.dll is there. Any clue what I am doing wrong?

Issue Analytics

  • State:closed
  • Created 3 years ago
  • Comments:20 (10 by maintainers)

github_iconTop GitHub Comments

1reaction
marlonjamescommented, Jul 13, 2020

I don’t think there is an installation option for that, but for Active-HDL 11.1 I had to rename the mingw folder.

0reactions
marlonjamescommented, Aug 12, 2020

Closing this. If you need further help related to this, feel free to re-open or create a new issue.

Read more comments on GitHub >

github_iconTop Results From Across the Web

Extending existing build flows — cocotb 1.7.2 documentation
Aldec Active-HDL . The asim call needs the +access +w option set to allow cocotb to access values in the design. Design with...
Read more >
Using Cocotb for design re-use and randomized testing in ...
Cocotb is a CO-routine based CO-simulation Testbench environment for verifying VHDL/Verilog RTL using Python. It is an open-source environment and hosted on ...
Read more >
cocotb simulation with Aldec - active hdl - Stack Overflow
I am doing some EDA tools test with cocotb as a testbench. I am running simulations with icarus and verilator without problem, however,...
Read more >
cocotb/Lobby - Gitter
Okay, got a clean install with cocotb; Questa -64 2019.1 working with dff ; Was not ... So what problem does the development...
Read more >
How useful has CocoTB been for you ! : r/FPGA - Reddit
For very large testbenches I want to run often I might consider VHDL/SystemVerilog instead. One tip is to generate the clock in HDL...
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found