question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

pyuvm: The Python version of the UVM

See original GitHub issue

Hi All,

I wanted to let you know that I’ll be presenting a paper at DVCON named Verification Learns a New Language: An implementation of IEEE 1800.2, in which I’ll present a personal project: I wrote the UVM in Python.

I released version 1.0 of pyuvm today on pip. The project is also on Github as an open source project.

I use cocotb in the example of pyuvm running on the Icarus simulator. (On my Mac!)

I’ll also be publishing a book in April named Python for UVM Verification. The book teaches SystemVerilog engineers a little bit of Python. Then it teaches the UVM using Python (similar to the UVM Primer) and finally it shows the reader how to connect pyuvm to cocotb. (The cocotb section is short and teaches just enough to get the reader writing their own signal-level coroutines.)

One can install pyuvm with pip:

% pip install pyuvm

I thought you’d like to know about the project before it gets announced at large.

Issue Analytics

  • State:closed
  • Created 3 years ago
  • Comments:13 (10 by maintainers)

github_iconTop GitHub Comments

2reactions
raysalemicommented, Feb 21, 2021

@raysalemi https://bit.ly/3dz8iwn

Hah! Never thought to do it.

1reaction
themperekcommented, Feb 23, 2021

My biggest challenge going forward is to figure out how to implement the excellent testing system that cocotb has. I have tests that run with nosetest and one cocotb example, but I think I need to learn tox.

Maybe this can help? https://github.com/themperek/cocotb-test Some info: https://github.com/cocotb/cocotb/files/3908378/cocotb-test_WOSDV2019.pdf

Read more comments on GitHub >

github_iconTop Results From Across the Web

pyuvm/pyuvm: The UVM written in Python - GitHub
pyuvm is the Universal Verification Methodology implemented in Python instead of SystemVerilog. pyuvm uses cocotb to interact with the simulator and ...
Read more >
The Python for Verification Series - Verification Academy
The pyuvm implements the UVM factory as it is described in the specification, removing elements that complicated the factory because of SystemVerilog typing....
Read more >
Python and the UVM - Verification Horizons - Siemens Blog
The initial release, pyuvm 1.0 implemented the UVM using Python threads. However, once cocotb released coroutine versions of Python Queues, ...
Read more >
pyuvm - piwheels
pyuvm. A Python implementation of the UVM using cocotb ... Project JSON: piwheels.org/project/pyuvm/json ... Version, Released, Buster
Read more >
Munsif M. Ahmad on LinkedIn: Introduction to pyuvm(A Python ...
This post is all about the concept of TLM(Transaction-Level Modeling) w.r.p.t pyuvm(Python implementation of the UVM using cocotb) & System Verilog version ......
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found