Simple example for README.md
See original GitHub issueWe once discussed that a very simple example would be more appropriate to be in the README.md
.
import random
import cocotb
from cocotb.clock import Clock
from cocotb.triggers import FallingEdge
@cocotb.test()
async def test_simple_dff(dut):
cocotb.fork(Clock(dut.c, 10, "us").start())
for i in range(10):
val = random.randint(0, 1)
dut.d <= val
await FallingEdge(dut.c)
assert dut.q == val, "output was incorrect on the {}th cycle".format(i)
Issue Analytics
- State:
- Created 4 years ago
- Comments:9 (9 by maintainers)
Top Results From Across the Web
A simple README.md template - gists · GitHub
An in-depth paragraph about your project and overview of use. Getting Started. Dependencies. Describe any prerequisites, libraries, OS version, etc ...
Read more >Make a README
Learn how to make a great README for your programming project, and use the editable template to get started.
Read more >README.md template | Documenting your project - Drupal
Drupal site owners can install Advanced help to view README files. Sample README. See the following modules for recommended README.md examples:.
Read more >Homework: Writing a README
The most common format for READMEs is Markdown, which is why our README files will include the .md extension. Markdown is perfect for...
Read more >How to write a good README for your GitHub project?
README template I leave you here an example of README.md file template you can download. Take a look at its formatting, and...
Read more >Top Related Medium Post
No results found
Top Related StackOverflow Question
No results found
Troubleshoot Live Code
Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start FreeTop Related Reddit Thread
No results found
Top Related Hackernoon Post
No results found
Top Related Tweet
No results found
Top Related Dev.to Post
No results found
Top Related Hashnode Post
No results found
Top GitHub Comments
Perhaps with an assert?
I actually do like mentioning the specific cycle, but it would have to be written as e.g.