question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

Got this error while running symbolator on a verilog file

See original GitHub issue

Is symbolator properly supported for verilog? I got the following error when I ran it on a .v file. I have tried out multiple output formats etc. but no joy.

./symbolator.py alu.v
Scanning library: .                                                                                                    
Creating symbol for alu.v "alu"
-> __alu.svg              
Traceback (most recent call last):
File "./symbolator.py", line 596, in <module>                                                                             
   main()                                                                                                                
File "./symbolator.py", line 591, in main                                                                                 
   sym.draw(0,0, nc)                                          
File "./symbolator.py", line 272, in draw                                                                                 
   sym_width = max(s.min_width(c, style.font) for sym in self.symbols for s in sym.sections)
File "./symbolator.py", line 272, in <genexpr>                                                                            
   sym_width = max(s.min_width(c, style.font) for sym in self.symbols for s in sym.sections)
File "./symbolator.py", line 158, in min_width                                                                            
   lmax = max(tw.text_width(c, font_params) for tw in self.left_pins)
File "./symbolator.py", line 158, in <genexpr>                                                                            
   lmax = max(tw.text_width(c, font_params) for tw in self.left_pins)
File "./symbolator.py", line 105, in text_width                                                                           
   x0, y0, x1, y1, baseline = c.surf.text_bbox(self.text, font_params)
File "/mnt/g/symbolator_files/symbolator/nucanvas/svg_backend.py", line 211, in text_bbox                                 
   return CairoSurface.cairo_text_bbox(text, font_params, spacing, self.scale)
File "/mnt/g/symbolator_files/symbolator/nucanvas/cairo_backend.py", line 122, in cairo_text_bbox
   layout = pangocairo.create_layout(ctx)
KeyError: 'could not find foreign type Context'
sumanth@LAPTOP-MFGQBV4B:/mnt/g/symbolator_files/symbolator$ ```

Issue Analytics

  • State:open
  • Created 3 years ago
  • Comments:20

github_iconTop GitHub Comments

1reaction
sumanth-kalluricommented, Jul 23, 2020

@mithro what exactly are you planning with Symbolator in the Symbiflow project? I think Its high time someone created an open-source HDL to block diagram converter that enables easier design exploration by allowing us to zoom into the sub-modules without having to synthesize the design. Something like the Schematic Viewer in Vivado and ISE except much lighter and faster.

If something like that is on the charts. I would love to contribute to the project.

1reaction
mithrocommented, Jul 22, 2020

FYI - We have started maintaining a symbolator fork in the SymbiFlow organization (https://github.com/SymbiFlow/symbolator).

We are reviewing and accepting pull requests as the tool is being heavily used in SymbiFlow and Google’s skywater-pdk documentation.

At some point we might rename it to something like symbiflow-symbolator and publish it on PyPi and similar.

Read more comments on GitHub >

github_iconTop Results From Across the Web

Issues · kevinpt/symbolator - GitHub
Contribute to kevinpt/symbolator development by creating an account on GitHub. ... Got this error while running symbolator on a verilog file.
Read more >
TerosHDL/community - Gitter
Hello, I am trying to get my project flow working with TerosHDL, and trying to understand how things are intended. When I run...
Read more >
Symbolator 1.0.2 documentation - GitHub Pages
Symbolator is a component diagramming tool for VHDL and Verilog. It will parse HDL source files, extract components or modules and render them...
Read more >
Errors and Warnings — Verilator 5.005 documentation
Disable the warning using Configuration Files with a lint_off command. This is useful when a script suppresses warnings, and the Verilog source should...
Read more >
Error when trying to view the source of the Verilog File in ...
I got stuck while I was following the tutorial in the part where it explains how to do a debug... When it tells...
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found