question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

Cocotb requires version 11 of icarus when version 12 is used

See original GitHub issue

I’m using versio 15.1 or cocotb and version 12 of icarus verilog along with version 3.9 of python.

I’m on a m1 mac.

While running cocotb I’m getting this issue:

/Users/raffaelemac/oss-cad-suite/bin/vvp -M /opt/homebrew/opt/python@3.9/Frameworks/Python.framework/Versions/3.9/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp libcocotbvpi_icarus:`/opt/homebrew/opt/python@3.9/Frameworks/Python.framework/Versions/3.9/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl’ failed to open using dlopen() because: dlopen(/opt/homebrew/opt/python@3.9/Frameworks/Python.framework/Versions/3.9/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl, 9): no suitable image found. Did find: /opt/homebrew/opt/python@3.9/Frameworks/Python.framework/Versions/3.9/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl: mach-o, but wrong architecture /opt/homebrew/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl: mach-o, but wrong architecture. Error: VVP input file 11.0 can not be run with run time version 12.0 (devel) make[1]: * [results.xml] Error 1 make: * [sim] Error 2

Thanks in advance for any help

Issue Analytics

  • State:closed
  • Created 2 years ago
  • Comments:8 (3 by maintainers)

github_iconTop GitHub Comments

2reactions
EngRaff92commented, Nov 10, 2021

As i stated previously after making a clean the numbers matched. So the only issue is related to the arch as stated before. So all clear basically by using the arm64 iverilog with cocotb installed everything works fine.

0reactions
ktbarrettcommented, Nov 10, 2021

cocotb, like all software, needs to be built with the same arch and executable format as the program it is being loaded into. cocotb works with all versions of Icarus Verilog AFAICT. The problem is that the numbers don’t match.

Read more comments on GitHub >

github_iconTop Results From Across the Web

Release Notes — cocotb 1.7.2 documentation
Its latest version resolves an issue for users on RedHat Enterprise Linux (RHEL) 8 and Python 3.8, where the correct Python library would...
Read more >
Quickstart Guide — cocotb 1.4.0 documentation
Running your first Example¶. Make sure you have the prerequisites (Python with development packages, a C++11 compiler with development packages, GNU Make, ...
Read more >
Simulator Support — cocotb 1.7.2 documentation
A working installation of Icarus Verilog is required. ... Currently cocotb only supports Verilator 4.106 (no earlier or later version).
Read more >
Quickstart Guide — cocotb 1.3.0 documentation
To install the development version of cocotb: ... If a 32-bit simulator is being used then additional steps are needed, please see our...
Read more >
v1.3.0 PDF - cocotb's documentation!
cocotb Documentation, Release 1.3.0. All verification is done using Python which has various advantages over using SystemVerilog or VHDL for ...
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found