question-mark
Stuck on an issue?

Lightrun Answers was designed to reduce the constant googling that comes with debugging 3rd party libraries. It collects links to all the places you might be looking at while hunting down a tough bug.

And, if you’re still stuck at the end, we’re happy to hop on a call to see how we can help out.

adding vec initialisation in chisel3 cheat sheet

See original GitHub issue

Type of issue: documentation

Impact: no functional change

Development Phase: request

Other information Is it possible to add Vec initialization in the cheat sheet for chisel 3 ? I don’t know exactly where to find the source of this document (cheat sheet).

For example, here a vec initialization of a register file of 31 UInt registers of 32bits width.

val regfile = RegInit(VecInit(Seq.fill(31)(0.U(32.W))))

The cheat sheet I’m using is the version 0.5 here.

Issue Analytics

  • State:closed
  • Created 4 years ago
  • Comments:6 (6 by maintainers)

github_iconTop GitHub Comments

1reaction
ducky64commented, Jun 17, 2019

Actually, we recently open-sourced the cheatsheet: https://github.com/freechipsproject/chisel-cheatsheet

A cleaning pass (including adding VecInit) is on my to-do list (Soon™), but we also do accept pull requests.

0reactions
Martonicommented, Jun 17, 2019

Issue added to right repository here

Read more comments on GitHub >

github_iconTop Results From Across the Web

adding vec initialisation in chisel3 cheat sheet · Issue #1105
Is it possible to add Vec initialization in the cheat sheet for chisel 3 ? I don't know exactly where to find the...
Read more >
Chisel3 Cheat Sheet
Basic Chisel Constructs. Chisel Wire Operators: val x = UInt() Allocate a as wire of type UInt() x := y. Assign (connect) wire...
Read more >
How to initialize the vector in chisel - Stack Overflow
You should use VecInit() without Wire. Be aware that routing_p0 is type of Vec(64, UInt(6.W)) (with upper case 'V'):
Read more >
freechipsproject/chisel3 - Gitter
Just submitted chipsalliance/chisel3#1805 adding a new loadMemoryFromFileInline method to ... [module SimpleModule] Reference io is not fully initialized.
Read more >
Vec - chisel3 - Chisel/FIRRTL
A vector (array) of Data elements. Provides hardware versions of various collection transformation functions found in software array implementations.
Read more >

github_iconTop Related Medium Post

No results found

github_iconTop Related StackOverflow Question

No results found

github_iconTroubleshoot Live Code

Lightrun enables developers to add logs, metrics and snapshots to live code - no restarts or redeploys required.
Start Free

github_iconTop Related Reddit Thread

No results found

github_iconTop Related Hackernoon Post

No results found

github_iconTop Related Tweet

No results found

github_iconTop Related Dev.to Post

No results found

github_iconTop Related Hashnode Post

No results found